[evlatests] VLA archive oddities

Michael Rupen mrupen at nrao.edu
Fri Jun 29 14:10:40 EDT 2007


Hello folks,

   the modcomp-free data appears rather oddly in the VLA archive.

* AL693 from June 28, a continuous single-subarray run of 8 hours not
   crossing IAT midnight, shows up as two overlapping files.
   - Loading these two data sets into AIPS, the data from the overlapping
     time range seems to be the same.  One obvious oddity is that there is
     a change in frequency (and consequently FQID) at the time the second data
     set starts.  There are however 0 visibilities with this "odd" FQID.

* AB1248 shows up with the wrong project code (AL0124), consistent
   with the naming problems others have mentioned.  NOTE however that
   messing up the project codes for "real" data is much more serious than
   doing so for test runs:
   - The observer will not be able to find his/her data simply by checking
     the project code reported in the log he/she receives.
   - The password will be different for different names.
   - In particularly nasty cases the mis-modified project code may actually
     overlap with an existing one.

* The configuration (should be "A") is set to "?"

TTFN,

             Michael




More information about the evlatests mailing list