[daip] Problem FRING

Thomas Krichbaum p459kri at mpifr-bonn.mpg.de
Wed Aug 20 06:22:37 EDT 2003


Dear DESIGNATED AIP,

I have a small array of 4 stations, of which station(3) has a
missing IF1 (all together there are 8 IFs). I use station(2)
as reference.

When I run FRING using APARM(5)=1 or APARM(5)=2 and
DPARM=1 300 300 1 0, soli =3
FRINGE complains saying FIT DID NOT CONVERGE FOR IF 1 (see
message below).

Looking into the SN table, it in fact did not write phase,
delay,rate. Could it be that FRING does not work properly if for 
one station IF1 is missing (even if it is not the reference
station) ?

As a check I used UVCOP to remove IF1 and copy only IF2->IF8 into a new file.
After rerun of INDXR, FRINGE worked on this file without problems.
The problem with this method is that I have to throw away
one full IF (=32 MHz) for ALL(!) stations. 

regards,
            Thomas

This is the error message of FRING:
HP218 > FRING1: Task FRING  (release of 31DEC02) begins
HP218 > FRING1: You are using a non-standard program
HP218 > FRING1: Selecting, editing and calibrating the data
HP218 > FRING1: Dividing data by source flux densities
HP218 > FRING1: Determining solutions
HP218 > FRING1: Doing Least Squares fits for multi and single band delays
HP218 > FRING1: Writing SN table    2
HP218 > FRING1: FREQUENCIES DO NOT LIE ON A UNIFORM GRID.
HP218 > FRING1: THIS MAY DEGRADE DETERMINATION OF DELAYS.
(-> don't bother about this, this has to do with our task MK4IN)
HP218 > FRING1:  Time=   0/ 18 41 50, Polarization =   2
HP218 > FRING1: B = Baseline  R = Rate (mHz)  D = Delay (nsec)
HP218 > FRING1: B= 02 - 03 IF=  1 R=       0.0 D=       0.0 SNR=   9.7
HP218 > FRING1: FIT DID NOT CONVERGE FOR IF    1
HP218 > FRING1:  This probably means that the starting value for the
HP218 > FRING1:  delay or rate for one or more antennae is bad.  You
HP218 > FRING1:  may want to set search windows and try again.
HP218 > FRING1: Refining solutions allowing SB delay
HP218 > FRING1:  Time=   0/ 19  1 51, Polarization =   2
HP218 > FRING1: B= 02 - 03 IF=  1 R=      -0.2 D=       0.0 SNR=  11.7
HP218 > FRING1: IF number =    1 Poln. =   1
HP218 > FRING1: Fitted phases, rates, delays and SNR: [ P = phase(deg),
HP218 > FRING1:   R = rate(mHz), D = Single-Band Delay(nsec), S = SNR ]
HP218 > FRING1: Ant(03): Phas=-131.5 rate=     -0.24 delay=      0.00 SNR= 220.2
HP218 > FRING1: Standard RMS errors (deg, mHz, nsec):
HP218 > FRING1: Ant(03): Phas=  0.26 rate=     0.011 delay=     0.000
HP218 > FRING1: Refining solutions allowing SB delay
HP218 > FRING1: Found       16 good solutions
HP218 > FRING1: Failed on       16 solutions
HP218 > FRING1: Appears to have ended successfully

-> no solution is writen into the SN table. I however would expect
at least 2 solutions.

-- 
Thomas P. Krichbaum                              Tel.: (Germany +49)-228-525-295
Max-Planck-Institut fuer Radioastronomie         Fax : (Germany +49)-228-525-229
Auf dem Huegel 69                         e-mail:   tkrichbaum at mpifr-bonn.mpg.de
D-53121 Bonn / Germany                    www.mpifr-bonn.mpg.de/staff/tkrichbaum



More information about the Daip mailing list